机械臂设计论文范文

2022-05-12

写论文没有思路的时候,经常查阅一些论文范文,小编为此精心准备了《机械臂设计论文范文(精选3篇)》的相关内容,希望能给你带来帮助!摘要:为了实现机械臂末端接触力控制系统的高精度和准确性控制,我们提出了一种基于力矩分量算法的接触力控制系统设计方法。根据接触力控制系统的工艺流程操作要求,进行控制系统硬件设计;通过对控制系统的运动过程和弹性执行自动化过程的分析,进行控制系统软件设计。通过MATLAB进行仿真实验,验证了该系统设计的准确性和有效性。

第一篇:机械臂设计论文范文

基于OpenCV的机械臂驱动系统设计

摘要:该文主要对四自由度机械臂控制系统进行了研究与设计,用于识别物体并抓取运输。首先,该文先对机械臂的整体机械结构进行设计包括它的底座、躯干、以及机械爪等,然后选择合适的躯干以及钩爪的驱动方式,构建出机械臂的机械结构部分;然后,我们则对其运动方式进行设计,包括电路控制板和驱动装置的选择,数据的接收以及发送方式,以及后期图像接口的设计等;在软件系统上选择了可移植能力强大的OpenCV,其提供的视觉处理算法非常丰富强大:扫描图像对齐、图像去噪、物体分析等,从而加强控制软件的可靠性和机器人运行过程的安全性。实验表明,该机械臂控制系统采用OpenCV不仅具有很好的控制精度,还具有很好的稳定性、准确性,而且在很大程度上改善了定位精度。

关键词:六自由度机械臂;OpenCV;伺服;制动

1 机械臂控制系统软件设计

1.1 开发环境

本设计的开发环境是arduino。Arduino是一款完全开源的电子原型平台,包含了arduino板和arduino IDE。由欧洲开发团队开发,使用类似C语言的processing开发环境。Arduino可以自行设计或者购买已经焊接好的板子,程序代码写在arduino IDE上,实现对arduino板子的控制。

1.2 国内外研究现状

作为近几十年来发展起来的一种自动设备,机械臂可以通过编写软件程序来完成目标任务,它不仅大部分机械臂共同的机械有点,而且特别具有人的视觉以及判断能力。在作业过程中,机械臂控制的准确性和对环境的适应性,已经使其在各个领域有着广阔的发展前景。高级类型的机械臂,可以执行更复杂的操作。将机器臂运用于工业生产过程,除了可以提高生产率之外,还能够减弱工人的劳动强度,使生产过程实现自动控制。因此机械臂在近几年得到了愈来愈广泛的应用。

在国外,工业机器人的发展已经较为成熟,涵盖于各个行业,已经得到了非常广泛的运用,而相比国内,我国基础产业跟不上,机械设计的工艺也达不到一个极高的水平,而且部分设计不够系统科学,大多处于一个模仿的阶段。以上原因导致我国工业机器人在国际上并不能达到一个较高的水准。如今国内企业需要革新自己的技术,加强学习才能在国际市场上占有一席之地。

1.3 总体思路

1.3.1 机械臂软件设计核心思路

摄像头采集视频图像->利用OpenCV获得图像的一帧->对此帧图像进行滤波处理->将图像序列帧由RGB模型转为HSV模型->对得到的二值图像进行轮廓检测->创建回调函数并对得到的三幅图像进行合并->创建滑动条窗口->将得到的图像分为H,S,V三幅单通道图像->在目标体上绘制轮廓。

本文提到的OpenCV函数库是一个开源的跨平台的视觉图像处理库,利用此库中提供的开源算法并加以逻辑上的改进来提取摄像头中帧图像,再使用颜色阈值调节功能进行颜色识别,再对结果进行一系列的处理达到预期要求。

1.3.2 OpenCV简介

OpenCV是一个基于开源发型的跨平台计算机视觉库,可以运行在众多操作系统上,由一系列C函数和C++类构成,轻量且高效,其提供的视觉处理算法非常丰富,被大量使用于众多科学领域,卫星地图的图像整合拼凑;医学界病人器官图像的去噪处理;安全系统中的物体动态监测而预警;军事行动中代替人眼而进行众多无人操作与活动,不光如此,在图像处理能力外,还能对声谱图进行识别操作从而进行对声音的识别。

1.4 单一模块

1.4.1 颜色识别

颜色识别的首当之事应是正确选取颜色空间,常用的颜色空间有RGB、CMY、HSV、HIS等。本文采用RGB和HSV。

RGB(红、绿、蓝)可以看成一个三维的坐标系,一个坐标点表示一种颜色。HSV是颜色空间模型。表示颜色的是Hue,与坐标点不同,他使用有角度的圆形来表示相应颜色,比坐标点更加灵活。表示饱和度的是Saturation,饱和度越低,则颜色填充就越少,例如圆心处取值为0,那么颜色会非常的淡,从底部往上,圆的半径r越来越大,那么颜色就会越来越深。表示颜色的亮度的是Value,同理,也是从圆锥底端到顶端的数值渐变,底部表示为黑色,而顶端表示为白色。在实际实验环境中,RGB颜色经测验非常容易受到强光、弱光、阴影等其他因素的干扰。相比之下,HSV空间能更加稳定的处理这些光照的变化从而能更好地反应颜色本质、传达正确信息。

1.4.2 图像获取与处理

1.4.2.1 图像获取与预处理

利用体感周边外设中强大的Kinect攝像头(VideoCapture(…))获取周围环境图像,读取一张图片或视频中的一帧图像,进行两次滤波后利用cvtColor(imgOriginal, imgHSV, COLOR_BGR2HSV)函数进行RGB与HSV的转换,再在HSV空间下对彩色图像做直方图均衡化。

高斯滤波函数:cvSmooth(…CV—GAUSSIAN…)。真实图像的邻近点像素如果变化,不会十分明显,因为真实图像的像素点是缓慢迁移变化的,但是如果两个像素点倏忽变化的话,便会有很大的像素差,就是我们说的噪点,这时候便要用到广泛用于图像处理的减噪的高斯滤波,他对整幅图进行加权平均,从而能够减少噪声却又不失真(保留信号)。

中值滤波函数:cvSmooth(…CV—MEDIAN…)。有时候图像中会有孤立的噪声点从而会形成较大差异,这样会影响平均值也会产生较大噪音,所以便使用非线性平滑的中值滤波,他把图像中的孤立的噪声点用其领域中各个点值的中值代替从而有效的去噪并且能够保护信号边缘使之不模糊,其算法也十分简单。

1.4.2.2 图像细处理与生成

创建滑动条:返回所读取的颜色参数阙值。本文设定了6个参数:

[LowHue(色度下限值)HighHue(色度上限值)LowSaturation(饱和度下限值)HighSaturation(饱和度上限值)HighBrightness(亮度上限值)LowBrightness(亮度下限值)]

之后得到返回的参数阙值,便用于检查图像像素灰度是否在设置的范围内并且可以得到目标颜色的色度、饱和度和亮度单通道图像。

将得到的三个单通道图像进行按位与运算,这样便能检测其二值图像,由于此时会出现噪声,所以采用膨胀腐蚀的方法进行图像形态学处理,使得到的目标体进行最大的连通。

图像生成:查找轮廓和绘制轮廓,轮廓正确勾勒,图像便能正确显示。利用OpenCV中对灰度图像处理的Canny边缘检测法(cvCanny(…)),将试图独立的候选像素拼装成轮廓,轮廓的形成是对这些像素运用滞后性阙值,Canny边缘检测算法是高斯函数的一阶导数,是对信噪比与定位精度之乘积的最优化逼近算子。

Canny函數输入输出的都为灰度图,在边缘检测完成后,利用“cvFindContours(…)”函数得到输出的图像的轮廓函数(在二值图像中),检测轮廓个数,然后再用“cvDrawContours(…)”函数绘制检测的轮廓。

2 机械臂控制系统硬件设计

2.1 自由度及关节

本机械手臂采用4个电机实现4自由度,进行手臂的升降,转动,抓取,移动等功能。

2.2 基座及连杆

2.2.1 基座

基座是机械手臂的支撑,起到稳固的作用,为了使机械手臂更加的稳定,增大其与表面的接触面积,降低重心,提升其稳定性能。同时,基座的剩余部分,可用于防止控制的单片机及其扩展版,使空间充分利用。

2.3 机械手臂设计

机械手是机械行业中必不可少的一个部分,主要起到操作,转移等功能。根据工件的不同,机械手的精度,重量,形状,光滑程度等都会不一样,以至于达到节省成本或准确夹取工件等实际要求。一般机械手包括:1)灵巧手;2)吸附手;3)夹取手;4)专用操作器。本设计因实现的主要功能是夹取物体并转移,工件物体不确定,因此采用夹取手作为机械手臂的机械手进行操作。

2.4 驱动方式

调用Servo实现对舵机的控制,定义多个舵机,控制多个舵机,具体内容根据实际情况进行调试。采用for语句,当红外或者视觉采集到数据,给予反馈,实现舵机的停止或执行下一步。舵机的转动的角度通过脉冲宽度占空比实现。由于舵机牌子不同,舵机转动的角度也会不同。

本机械手臂通过电机的扭矩进行传动。手臂的升降,转动,抓取都是由能够承受很大力的电机进行完成。在机械手臂抓取物体时,尽量的平稳,并且力不能够过大或者过轻,移动时活动空间大。

机械行业一般常用的驱动方式有液压驱动,电机驱动和气压驱动三种方式,每种驱动方式各有优劣。本设计机械手臂中,要求驱动时满足一下条件:1)输出功率适中,效率高;2)精准度尽可能的高;3)便于维护,调试;4)安全性高;5)成本低。

综上所述,本设计采用电机驱动的方式对机械手臂进行驱动。电机参数如表所示:

本机械手臂采用控制角度的方式控制手臂。在初始位确定的情况下,通过控制角度,实现电机的转动,其优点是,能够精确控制位置,但是因为需要进行初始位置,导致运行时间过长。本文设计方案传动方式为舵机直接传动,故不多作介绍。

3 结论

机械臂控制系统是当今社会的一项非常重要的研究课题,尽管其发展已经有了一段很长的历史,但是其发展并不完全成熟。无论是学术界、工业还是在教育教学方面都一直在进行着这方面的研究,距离成熟阶段还要有一段时间。

本设计是基于OpenCV六自由度机械臂驱动系统的设计,以六自由度机械臂为控制对象,以arduino为开发环境,辅以有着丰富视觉处理算法的OpenCV软件,并在此基础上,采用先进的控制理论,以正确的控制方法为指导,进行了系统的硬件设计。

在整个系统的设计中,硬件的设计是本论文研究的重点,芯片的选型是系统硬件设计的保证,并且辅以可靠性分析为指导,保证了系统运行的可靠性和稳定性。

从实验结果中看出,我们设计制作的基于OpenCV的四自由度机械臂能够和一些中小型机器人控制器的性能要求类似,在操作灵活度、控制精度、易操作性等方面都表现出优秀的性能。然而,仍有一些不足之处需要进一步的改进。

1)机械臂的传感器提升。作为机械臂的控制对象,其结构、性能的优劣成为了机械臂的重中之重、中流砥柱,为了实际运行效果的完美,我们机械臂的手爪部分应加入压力等传感器,为控制的精准提供、保证更为完整的信息。

2)完善机械臂自动控制算法。算法的优良决定了机械臂是否能自动协调运行,特别在输入参数和机械臂抓取后的运输,需要更加优化、灵活的算法,从而将计算出的控制参数变得更加精确和一体。

3)视觉的广泛性运用。视觉不单单只作用与颜色的阈值识别,还包括如骨骼识别,轮廓识别等等,再后续的研究中,添入以上功能,可以使机械臂的作用范围变得更加的广泛。

鉴于上述情况,在以后的工作中,我们应该不断改进、完善,以提高该机械臂系统的稳定性以及可靠性。

参考文献:

[1] 方龙,陈丹,肖献保.基于单片机的机械手臂控制系统设计[J].计算机与信息技术,2012,8(8):89-90.

[2] 任美玲.机械臂的研究与进展[J].出国与就业,2012(2):84-85.

[3] 刘少丽.浅谈工业机械手设计[J].工业自动化,2011,40(7):45-46.

[4] 毛星云.OpenCV3编程入门[M].电子工业出版社,2015.

[5] 程晨.自律型机器人制作入门[M].基于Arduino.北京航空航天大学出版社,2013.

[6] (美)Don.Wilcher.学ARDUINO玩转电子制作[M].翁恺,译.人民邮电出版社,2013.

[7] 孙骏荣,吴明展,卢聪勇.Arduino一试就上手[M].科学出版社,2013.

[8] (德)乌尔里希·菲舍尔.简明机械手册[M].2版.杨放琼,编.湖南科学技术出版社,2012.

[9] 陈明秋.机械制图[M].武汉理工大学出版社,2009.

[10] 冈萨雷斯.数字图像处理[M].电子工业出版社,2011.

[11] 侯宾,张文志,戴源成,等.基于OpenCV的目标物体颜色及轮廓的识别方法[J].现代电子技术,2014(24).

作者:马超 范光宇 张治军 黄达 何群

第二篇:机械臂末端接触力控制系统设计

摘要:为了实现机械臂末端接触力控制系统的高精度和准确性控制,我们提出了一种基于力矩分量算法的接触力控制系统设计方法。根据接触力控制系统的工艺流程操作要求,进行控制系统硬件设计;通过对控制系统的运动过程和弹性执行自动化过程的分析,进行控制系统软件设计。通过MATLAB进行仿真实验,验证了该系统设计的准确性和有效性。

关键词:机械臂;接触力控制;硬件设计;仿真模擬

机械臂作为实现自动化和工业化的关键技术,其发展备受关注[1]。机械臂设计关键是末端接触力控制系统的精确运行和流畅操作。机械臂末端接触力学状态与工作息息相关,如何实现机械臂末端接触力的精准控制是研究重点。为此本文从硬件和软件方面对控制系统进行优化设计,经过实验论证,证明本文设计的性能。

1 接触力控制系统硬件设计

1.1 控制系统控制器

控制器是接触力控制系统实现指令输出和信号输入的处理装置。包括弹性执行器、PD模块、实际电机位置读取模块、信息监测模块和计算模块[2]。

根据工艺要求,控制器在设计上应采用闭路力学控制方法,实现接触力的精确控制。闭路力学控制装置是由PD功能模块和电机位置读取模块构成的[3]。利用实时信息监测模块检测机械臂位移或复位运动电压,检测数据经计算模块计算后转化为实际接触力参数,进行期望值对比后输出信号到PD功能模块。经过实际电机位置读取模块的位置增量计算,将实际位置信号输出给机械臂末端,实现机械臂末端接触力有效控制。

1.2 控制系统显示面板

显示面板是实现控制系统对机械臂操作的控制台,通过手动输入信息,实现机械臂精确运转。

编程界面通过分析程序指令,实现机械臂多流程、多线束可编程控制、指令开发与解析、点对点运动、直线运动等功能[4]。

机械臂力学控制装置界面包括力学参数设置、状态显示、单轴点动控制按键、界面跳转功能键、手动力学控制以及机械臂运动速度等功能。在力学控制界面,能够设置预测力学控制状态并显示实际力学状态。配备的手动力学控制装置可以结合单轴点动控制装置,在特定状态下(静止、复位、运动骤停)的力学性能检测和调试。

1.3 控制系统运动坐标系

控制系统的运动坐标系设计包括机械臂运动控制的基本功能[5]。

针对机械臂末端接触力编程问题和实际运动中的位置转换,需通过运动坐标系进行机械臂位置标定以及确定接触力大小[6]。基于三点示教法坐标系位置确定装置工作原理,记为A(Ox,Oy,Oz);通过水平左移机械臂一定的距离,记为第二个坐标点B(X1,X2,X3);将机械臂进行向上移动,标记第三个坐标点C(X1,X2,X3)。

以三点坐标作为基准向量建立坐标系,其中X轴方向的坐标可以用矢量表示为:

将三轴的矢量表示法进行归一化处理后,就可以得到机械臂运动坐标系。根据实际机械臂末端运动位置,就可以确定相应的坐标系对应的坐标点,根据相应的坐标接触力计算方法,实现多线束多流程的可编程控制。

2 接触力控制系统软件设计

2.1 接触力分析软件

机械臂执行操作任务时,存在机械臂末端与不同任务环境接触的阶段,该阶段接触力分析是实现准确控制的关键。实际机械臂末端装有多维力传感器可对环境接触力进行检测。力学传感器采集到的数据由接触力分析软件分析。接触力分析软件能够根据传感器上传的数据,通过计算实现机械臂位置调整。机械臂在操作过程中接触力的作用时间较长,接触过程可作为静态接触过程来分析,可缩短接触力分析时间,提高软件运算速率。

2.2 接触建模软件

刚体碰撞是瞬态过程,机械臂末端接触为持续一段时间的柔顺过程。因此在机械臂接触力建模过程中,建模软件应根据时间对柔性模型做法向力和切向力的关系描述,模型的参数与机械臂的几何属性及环境的杨氏模量相关。基于此法的接触力建模软件与实际机械臂运转情况相吻合的。

软件建模过程为:假设接触过程包含刚体B,忽略质量接触面S,在接触面和刚体末端有弹簧和阻尼器,用来控制接触力。发生接触时,弹簧的弹性刚度为K,接触面上产生大小相同的反作用力-K。阻尼器产生的阻尼系数为D,根据碰撞时刚体B的速度会在阻尼器上产生大小相等、方向相反的外力-D在接触面上。由力平衡关系分析可知,在忽略质量的接触面上的合外力受力平衡关系必须为零,整个系统的平衡关系式为:

计算接触力时需注意:未接触时接触力为零;当接触力持续进行时,将一直保持力平衡状态,此时的刚体运动速度与接触面的瞬间接触速度保持相等,接触力的计算公式变为:

表达式中接触力为零或取正值时,属于正常柔性接触状态;接触力取负值时,表示刚体的运动速度较接触面运动速度大,说明接触力正在消失。

3 仿真实验

为了对接触力控制系统的软件和硬件进行有效性和稳定性,我们通过MATLAB软件对直线运动的机械臂运动进行了仿真实验。

在笛卡尔坐标系中进行机械臂直线运动的位移和速度变化的曲线分析。如图1所示。

图中分别为XY方向和三维整体运动的力学分布和运动轨迹视图。通过分析得到模拟图及结论:在直线运动过程中,接触力响应发生在5.9s接触后,并在之后的时间内保持稳定。机械臂运动7.9s左右接触力达到最大值;在8.9s后,实验结束,XY方向的位移量不再变化,故XY方向力矩为零,Z方向无位移。通过以上仿真实验分析,结合实际机械臂运动的控制参数,仿真实验能够真实准确的反应接触过程中接触力和位移的变化情况,说明了本文设计的有效稳定。

4 结语

本文通过对机械臂末端接触力变化情况进行的硬件和软件的设计,并通过模拟实验验证了控制系统的稳定性和有效性,仿真实验能够清晰地表现整个接触过程中力的变化和动态特征,末端接触力计算准确有效。希望本文对于机械臂末端接触力控制系统的研究,及后续的机械臂力学控制系统的设计提供参考和帮助。

参考文献:

[1] 肖南峰, 巢娅, 陈星辰. 仿人机械手的触觉传感器和关节驱动及控制系统设计与实现[J]. 重庆理工大学学报, 2018, 32(1):148-157.

[2] 杨儒骁, 李雨婷. 基于MATLAB和Arduino的小型机械臂控制系统设计[J]. 工业控制计算机, 2018,31(5):83-85.

【通联编辑:张薇】

作者:王志刚

第三篇:机械臂运动轨迹在线控制系统设计与研究

摘要: 针对多自由度机械臂系统,基于单片机设计了机械臂运行轨迹控制系统,该系统包含上位机、主控面板及机械臂舵机控制板等部分,通过光电编码器及位移传感器捕捉机械臂的实时位置信息,基于Arduino舵机扩展板、D-H理论搭建机械臂结构模型,实现机械臂多自由度控制。由试验结果可知,所设计系统可更为精准的控制机械臂运动轨迹,且具有良好的运行稳定性和可靠性。

關键词: 单片机;机械臂;运行轨迹;编码器;传感器

Key words: single chip computer;mechanical arm;trajectory;encoder;sensor

0  引言

伴随社会经济的飞速发展和科学技术的不断进步,工业机器人在生产制造领域中的应用日益广泛,且朝着智能化、自动化方向发展[1]。在工业生产中,利用机器人替代传统的人工劳动方式不仅有效保证了生产效率,同时也降低了工人的劳动强度,降低了生产成本,工业机器人已然成为现代化生产中的核心环节[2]。工业机器人的核心组成部分为机械臂,通过机械臂的移动和转动可实现不同功能,在实际生产中对机械臂的运行轨迹和相应动作进行编程使其实现预期功能[3]。国内外相关研究人员关于机械臂运动轨迹规划及运动控制方面进行了大量研究,谢乃流采用三次多项式多路径点,对六自由度机械臂的轨迹规划问题进行了研究[4];焦继乐基于模糊逼近算法,研究了机械臂应用于目标物体抓取过程中的运动轨迹规划问题[5];贾庆轩采用A*算法,分析了机械臂避障过程中的轨迹规划方

法[6];汪首坤基于A*算法,通过圆柱体对机械臂各关节进行包络,实现A*算法的改进优化,采用变步长分段搜索的方式对机械臂运动路线进行规划[7]。传统机械臂运行轨迹控制系统通过PLC实现对机械臂运行过程中输出信号的采集和处理,完成对机械臂运行轨迹的实时控制,但仅适用于单自由度机械臂控制,且存在精度低,稳定性差等问题[8],本文基于单片机设计了机械臂运行轨迹在线控制系统,实现了机械臂运行轨迹的多自由度控制,且具有较高的稳定性和精准性。

1  硬件选型、设计

1.1 硬件结构设计

系统硬件包括上位机、主控制板及舵机三部分构成,其中上位机触摸屏通信接口具有通信协议兼容性好,接口简单,交互方式方便、直接、快捷的特点,适用于各类型控制器,其不仅承载了控制系统与外部交互的作用,且确保了控制系统的安全、稳定运行。实际运行中,工作人员可通过触摸屏实时监控包装机的运行状况,同时可通过触摸屏输入控制指令及工艺参数。Arduino主控板作为系统核心,采用ATmegal280单片机作为处理单元,与PC机通过RS232通信,采用在线控制计算机实现功能选择[9]。主控板完成机械臂运行参数的实时调整控制,同时基于RF模块将信息传输到控制板并生成脉宽调制信号。通过传输信号的差异化时间控制完成多伺服舵机协作。

1.2 ATmega1280单片机

ATmega1280单片机为8位微控制器,具有功耗小、性能高、可扩展性好等优势。含有86个I/0接口,16个模拟信号输入接口,4个串口,具有JTAG模拟功能,为系统编程提供基础[10]。

1.3 光电编码器位移传感器设计

系统通过传感器实时采集机械臂位置、位移等信息。文中采用增量式光电编码器位移传感器实现机械臂位置和位移量的实时检测,该传感器为非接触型传感器,具有分辨率高,速度快等诸多优势[11]。使用时无需对机械臂关节进行校准,即可获知机械臂关节位置。增量式光电编码器工作原理如图1所示。

1.4 舵机控制板设计

系统采用Arduino扩展板作为舵机控制板,可对16路舵机同时进行控制,实现机械臂的多自由度控制,分辨率为0.09,兼容USB及TTL通信,实现了扩展板和主控板之间的连接。

完成系统硬件模块连接后,根据D-H理论,构建机械臂连杆相对底座坐标的空间坐标系,获取连杆位置、方向参数[12]。机械臂结构图如图2所示。

2  系统软件设计

文中软件系统设计主要原则为保证系统具有良好的可移植性和易修改性,选取模块化系统软件结构,如图3所示。

2.1 系统软件实现分析

系统软件包括上位机程序及主控板程序,主控程序可分为单自由度、多自由度、轨迹规划三种功能模式,通过上位机或键盘选取,实现全自由度协作运行。

文中基于LabVIEW完成控制系统软件设计,实现机械臂在线控制[13]。系统控制界面由舵机数据在线控制转盘、按键及速度调整构成。通过RS232协议,实现多自由度转角及方向实时调整,舵机转盘数据代表舵机修正的角度范围。

2.2 单片机系统时钟初始化

通常而言,单片机通过锁相环提升片内时钟频率,使其大于振荡器频率,以提升CPU运行速度及系统电磁兼容性[14]。文中采用16MHz外部振荡源,预设SYNR、REFDV值,则锁相环PLLCLK输出时钟频率为:

式中:

SYNR——时钟合成寄存器;

REFDV——时钟分频寄存器;

OSCCLK——外部晶振。

由计算可得锁相环输出时钟频率为80MHz,通过OSCCLK描述时钟选择寄存器,即Bus Clcok=PLLCLK/2

式中Bus Clcok为总线时钟频率,为40MHz。时钟初始化流程图如图4所示。

3  实验分析

通过实验验证系统有效性、可行性,对运动轨迹控制模式进行仿真。在图板上设定A(0,0,0)和B(3,2,0),通过系统控制机械臂夹持器再A、B之间画直线,计算关节角,并通过笛卡尔进行直线插补,设计系统使用前后机械臂运行轨迹对比图如图5所示。

由图5可知,通过本系统机械臂可根据预设轨迹经过设定b、c、d、e四个点至B点,由此验证本系统具有轨迹控制功能。为进一步验证系统稳定性,设定期望运行时间为7.27s,比对本系统与基于PLC的控制系统消耗时间,如图6所示。

由图6可知,基于PLC的轨迹控制系统在各目标点处存在停顿,缩短限定时间后,机械臂关节“加速-停顿-加速”。本文系统具有缓冲区,确保了机械臂关节运动的持续性。实验表明,本系统可有效提升机械臂运行稳定性与快速性。

为进一步验证系统可行性,分别对系统进行梯形、三次多项式轨迹测试,结果如图7所示。由图7可知,在梯形轨迹测试中,提升和下落阶段轨迹控制偏差均小于0.3°,符合要求;三次多项式测试中,轨迹控制偏差小于0.4°,符合要求。由测试结果可知,文中设计系统具有良好的轨迹控制精度。

4  结论

传统机械臂运行轨迹控制系统通过PLC实现对机械臂工作过程中输出信号的采集和处理,完成对机械臂运行轨迹的实时控制,但仅适用于单自由度机械臂控制。针对多自由度机械臂系统,本文基于单片机设计了由上位机、主控制板及舵机控制板构成的机械臂运行轨迹在线控制系统。系统采用光电编码器位移传感器实现机械臂实时位置及位移的捕捉,基于D-H理论搭建机械臂结构模型,实现多自由度控制。由实验测试可知,文中机械臂运行轨迹在线控制系统可稳定、快速、精准的控制机械臂的运动轨迹,在诸多领域具有广阔应用前景。

参考文献:

[1]熊安,卞春江,周海,等.基于ROS的机器人定位与导航系统的仿真设计[J].电子设计工程,2018,26(24):188-193.

[2]罗庆生,夏悦然,李沛达,等.一种小型仿人机器人的设计、制作与舞蹈功能的实现[J].计算机测量与控制,2018,26(12):

238-243,259.

[3]袁媛.六自由度機械臂运动学及奇异性仿真分析[J].机电工程,2018,35(12):1329-1333.

[4]谢乃流,陈劲杰,石岩.基于六自由度机械臂的轨迹规划[J].机械制造与自动化,2017,40(1):141-144.

[5]焦继乐,曹志强,赵鹏.基于模糊趋近的移动机械臂目标抓取方法研究[J].华中科技大学学报:自然科学版,2019,4(S1):47-50.

[6]贾庆轩,陈刚,孙汉旭.基于A*算法的空间机械臂壁障路径规划[J].机械工程学报,2020(7):109-115.

[7]汪首坤,邸智,王军政.基于A*改进算法的机械臂避障路径规划[J].北京理工大学学报,2017,31(11):1302-1306.

[8]屈晓莉,李炎粉.基于PLC的六自由度机械臂控制系统研究[J].自动化与仪器仪表,2016(08):63-64,67.

[9]王奕森,祁虔.基于Arduino的便携式分体收线器设计与实现[J].自动化仪表,2018,39(08):47-51.

[10]李欣,王晓燕.基于EtherCAT和遗传-BP神经网络的等温锻造电液伺服系统优化研究[J].机电工程,2019,36(05):534-538.

[11]姜铁征,万秋华,于海,等.小型绝对式光电编码器精度自动检测装置[J].仪表技术与传感器,2019(03):1-4,10.

[12]张旭,郑泽龙,齐勇.6自由度串联机器人D-H模型参数辨识及标定[J].机器人,2016,38(03):360-370.

[13]刘家军,刘创,韩斌,等.一种铁路电气化区段电力电缆头的监测保护装置[J].电力系统保护与控制,2018,46(13):141-148.

[14]孙焜,姚伟,文劲宇.双馈风电场经柔直并网系统次同步振荡机理及特性分析[J].中国电机工程学报,2018,38(22):6520-6533.

作者:马芸慧 方业云

本文来自 99学术网(www.99xueshu.com),转载请保留网址和出处

上一篇:路基工程论文范文下一篇:护理学职称论文范文